Перейти из форума на сайт.

НовостиФайловые архивы
ПоискАктивные темыТоп лист
ПравилаКто в on-line?
Вход Забыли пароль? Первый раз на этом сайте? Регистрация
Компьютерный форум Ru.Board » Компьютеры » Программы » SciTE - Open Source Text Editor for Windows & Linux

Модерирует : gyra, Maz

Widok (23-11-2010 11:23): Лимит страниц. Продолжаем здесь  Версия для печати • ПодписатьсяДобавить в закладки
Страницы: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99

   

Widok



Moderator-Следопыт
Редактировать | Профиль | Сообщение | Цитировать | Сообщить модератору

A free source code editor for Win32 and X
Русскоязычный форум поддержки
Внимание! Это - продолжение темы, начатой [здесь]

  • Один из самых настраиваемых текстовых редакторов из ныне существующих. Настраивается практически все.
  • Индивидуальная подсветка для разных языков програмирования, фолдинг для классов, функций и структур, авто-дополнение, и т.д. и т.п. ...
     
  • Официальный сайт: Scintilla and Scite
  • Официальный форум: Scite-interest -- Discussion of the SciTE editor
  • Русская документация по SciTE (Ждем ваших замечаний и дополнений...)
  • Проект SciTE-Ru (полезные файлы, предложения, SVN, ....)
  • SciTE - Википедия (корректируйте, дополняйте!)
  • Русский сайт SciTE(FAQ, снимки экрана, утилиты, скрипты, ....) (многое сильно устарело...)
  • Мечта кодера. статья
  • Burgaud.com - US.SciTE
  • SciTE: Scintilla Text Editor with Extensions
  • Filerx, SideWinder
  • ......
     
       
  • Project file releases
  • Project summary (including basic statistics)
  • Новости со SciTE.ru
     
    Последняя версия оригинального редактора SciTE - 2.22
     
    Последняя версия редактора из проекта SciTE-Ru - 2.22 .87 [Сборка 01.11.2010]
    (Oписание: сборки, ядра)        [Важно! О "вирусах" в сборке SciTE-Ru!]
     
    Русскоязычный онлайновый чат, посвященный SciTE: online@conference.scite-ru.org
    Дружественная тема: Доработка исходного кода и компилирование сборки Ru-Board Edition
    Нерешенные задачи и вопросы ( Для умных и талантливых форумчан )

  • Всего записей: 24190 | Зарегистр. 07-04-2002 | Отправлено: 14:48 09-10-2007 | Исправлено: mozers, 19:36 01-11-2010
    Vidocqq

    Advanced Member
    Редактировать | Профиль | Сообщение | Цитировать | Сообщить модератору
    Всем привет!
    НЕ подскажете как настроить положение всплывающего окошка с вариантами подстановки команд, ибо местоположение его появления по-умолчанию не совсем удобно (по моему субъективному мнению).
    Спасибо.
     
    И ещё вопрос - можно сделать так, чтобы изменялся цвет курсора при смене раскладки (про Aml Maple знаю, но интересует именно возможность редактора)?

    Всего записей: 1013 | Зарегистр. 03-09-2007 | Отправлено: 20:12 02-02-2010 | Исправлено: Vidocqq, 20:55 02-02-2010
    mozers



    Silver Member
    Редактировать | Профиль | Сообщение | Цитировать | Сообщить модератору
    Vidocqq
    Цитата:
     как настроить положение всплывающего окошка  
    никак.

    Цитата:
    чтобы изменялся цвет курсора при смене раскладки  
    написать скрипт.
     

    Всего записей: 2187 | Зарегистр. 03-01-2002 | Отправлено: 21:59 02-02-2010
    robogearPlus

    Newbie
    Редактировать | Профиль | Сообщение | Цитировать | Сообщить модератору
    Как настроить SciTE с компилятором Visual C++ 2008 ?

    Всего записей: 4 | Зарегистр. 03-02-2010 | Отправлено: 18:49 03-02-2010
    mozers



    Silver Member
    Редактировать | Профиль | Сообщение | Цитировать | Сообщить модератору
    robogearPlus
    C первым постом!
    И чего, позвольте спросить, Вы собрались компилить? Открытый в SciTE файлик на С?
    Тогда приведите текст батника, с помощью которого это действо Вам железно удается.
    Тогда я присоветую как красиво интегрировать его команды в SciTE.
    В общем, нет пакетника - нет вопроса. (Точнее вопрос есть, но относится он никак ни к SciTE).

    Всего записей: 2187 | Зарегистр. 03-01-2002 | Отправлено: 19:35 03-02-2010
    robogearPlus

    Newbie
    Редактировать | Профиль | Сообщение | Цитировать | Сообщить модератору
    Я честно говоря не до конца в курсе темы, я знаю, что в Visual C есть отдельный компилятор в виде исполняемого файла с интерфейсом командной строки. Я хочу подключить этот компилятор к SciTE. Хотя мне вобщем то сойдет и компилятор от MinGW.

    Всего записей: 4 | Зарегистр. 03-02-2010 | Отправлено: 20:43 03-02-2010 | Исправлено: robogearPlus, 22:37 03-02-2010
    mozers



    Silver Member
    Редактировать | Профиль | Сообщение | Цитировать | Сообщить модератору
    robogearPlus
    SciTE может запустить коммандную строку, подставив в нее нужные параметры и вывести результаты работы консольной программы во встроенную консоль.
    Не знаешь как сочинить строку (а вопросы по ней не относятся к данной теме) - не сможешь компилить. Все.

    Всего записей: 2187 | Зарегистр. 03-01-2002 | Отправлено: 01:01 04-02-2010
    oldBob

    Junior Member
    Редактировать | Профиль | Сообщение | Цитировать | Сообщить модератору
    Всем доброго времени суток!
    Обнаружил такю проблему: создал текстовый файл под Виндой,
    сохранился он, есс-но в CP1251. Под Linux сконвертировал его в UTF-8,
    добавил еще чего-то.
    Под Виндой начал редактировать, а автодополнение работает только
    для английских слов, кириллицу не берет. Так должно быть или можно
    как-то поправить?

    Всего записей: 68 | Зарегистр. 03-02-2005 | Отправлено: 00:38 06-02-2010
    robogearPlus

    Newbie
    Редактировать | Профиль | Сообщение | Цитировать | Сообщить модератору
    Собственно Вот
    call "%VS90COMNTOOLS%vsvars32.bat"
    cl /EHsc %1
     
    текст батника

    Всего записей: 4 | Зарегистр. 03-02-2010 | Отправлено: 11:15 07-02-2010
    UR4LTZ



    Junior Member
    Редактировать | Профиль | Сообщение | ICQ | Цитировать | Сообщить модератору
    Выяснились странные вещи под WIN7. Проверьте кто может, если есть совет как это исправить милости просим в scite_ru@conference.jabber.ru
     
    Вот немного лога из коференции.
     
    Vyazovoi: ur4ltz Andy: привет. Мелочь: при установке не регистрируется com-сервер, т.к. не хватает библиотеки. у это мелочь, можно скачать. Баг: при каждом выходе из скайта (ничего не правил, только установил) - ошибка "R6025 - pure virtual function call" (Microsoft Visual C++ Runtime Library)
    Vyazovoi: судя по заголовку мес.бокса ошибка в C++ Runtime, так что может быть и локальная. Эти либы ставились инсталлятором windows live сервисов.
    Vyazovoi: Интересно бы услышать от других юзеров семерки.
    ur4ltz Andy: У тебя эта версия?
    ----- скип
    Были проверены версси собранные в MinGW и VS 2005
    ----- скип
    neo4max: есть, поймал ошибку, Runtime Error R6025?
    neo4max: в общем собрал под семеркой все заработало нормально: странно как то.
    neo4max: вот если что собрал под семеркой
    ur4ltz Andy: не убивай а я у себя тоже выложу.
     
    Проблема была решена ссылки удалины.

    Всего записей: 119 | Зарегистр. 03-03-2008 | Отправлено: 06:08 08-02-2010 | Исправлено: UR4LTZ, 05:38 06-06-2010
    mozers



    Silver Member
    Редактировать | Профиль | Сообщение | Цитировать | Сообщить модератору
    oldBob
    Да. В UTF-8 автодополнение кириллицы не работает. Необходима доработка ядра.
     
    robogearPlus
    Если батник проверен в работе, то добавить эту команду в SciTE не составит труда:
    Код:
    # Команда меню - Компилировать (Ctrl+F7)
    command.compile.$(file.patterns.cplusplus)=cmd /c call "$(VS90COMNTOOLS)vsvars32.bat" && cl /EHsc "$(FilePath)"
    Только проверь (Alt+V) входят ли в file.patterns.cplusplus расширения файлов которые ты собираешся передавать в качестве параметра FilePath.
    Кстати, переменная окружения VS90COMNTOOLS может и не существовать.
    Поэтому решение, использованное в нашей сборке, более универсально:
    Код:
    @echo off
    set VC7=%ProgramFiles%\Microsoft Visual Studio .NET
    set VC71=%ProgramFiles%\Microsoft Visual Studio .NET 2003
    set VC8=%ProgramFiles%\Microsoft Visual Studio 8
    set Tools=Common7\Tools
    set path=%VC8%\%Tools%;%VC71%\%Tools%;%VC7%\%Tools%;%path%
    call vsvars32.bat
    Такой длинный батник наверное не имеет смысла вытягивать в одну строку. Проще его оформить отдельным файлом, а в command.compile прописать его вызов.
     
    UR4LTZ
    Я компилю в VS 2003 и в ХР и в Win7. Юзаю так же и там и там. Никаких проблем.
    А "pure virtual function call" - вызванно конфликтом недостаточных прав пользователя и желанием RestoreRecent.js сохранить  параметры в каталог home. Универсальный рецепт - убить UAC.
     

    Всего записей: 2187 | Зарегистр. 03-01-2002 | Отправлено: 18:04 08-02-2010 | Исправлено: mozers, 18:37 08-02-2010
    robogearPlus

    Newbie
    Редактировать | Профиль | Сообщение | Цитировать | Сообщить модератору
    mozers, спасибо, все компилируется. Теперь хотелось бы настроить команду command.go.

    Всего записей: 4 | Зарегистр. 03-02-2010 | Отправлено: 05:55 09-02-2010
    mozers



    Silver Member
    Редактировать | Профиль | Сообщение | Цитировать | Сообщить модератору
    robogearPlus
    В своем предыдущем посте я дважды привел ссылку на соответсвующий пункт документации. Или ты думаешь что я это чиста для красоты сделал?

    Всего записей: 2187 | Зарегистр. 03-01-2002 | Отправлено: 08:48 09-02-2010
    oldBob

    Junior Member
    Редактировать | Профиль | Сообщение | Цитировать | Сообщить модератору
    mozers
    а можно уточнить:

    Цитата:
    Необходима доработка ядра.

    в какой части ядра рыться?
     

    Всего записей: 68 | Зарегистр. 03-02-2005 | Отправлено: 23:19 10-02-2010
    mozers



    Silver Member
    Редактировать | Профиль | Сообщение | Цитировать | Сообщить модератору
    oldBob
    Очевидно что в процедуре отвечающей за автодополнение  
    Где точно - это к программерам.

    Всего записей: 2187 | Зарегистр. 03-01-2002 | Отправлено: 19:52 11-02-2010
    CaPpuCcinoZ

    Newbie
    Редактировать | Профиль | Сообщение | Цитировать | Сообщить модератору
    добрый день, товарищи!
    на форуме electronix.ru поступила просьба о расширение количества ключевых слов для folding-a языка Verilog. т.к. стандарт этого языка вышел уже 2005 году с расширенным синтаксисом, а ключевые слова для фолдинга конечному пользователю самостоятельно изменить не представляется возможным, т.к. они намертво зашиты в парсере scintilla http://scite-ru.googlecode.com/svn/trunk/src/scintilla/src/LexVerilog.cxx в функции FoldNoBoxVerilogDoc. чтобы не вносить принципиальных изменений в логику парсера (имею ввиду добавить возможность пользователю определять этот список) не могли бы вы в следующий раз пересобрать редактор с добавлением 3 новых ключевых слов для фолдинга, а именно: блок if на строке 239 указанного файла:

    Код:
     
                    if (style == SCE_V_WORD && stylePrev != SCE_V_WORD) {
                            unsigned int j = i;
                            if (styler.Match(j, "case") ||
                                styler.Match(j, "casex") ||
                                styler.Match(j, "casez") ||
                                styler.Match(j, "function") ||
                                styler.Match(j, "fork") ||
                                styler.Match(j, "table") ||
                                styler.Match(j, "task") ||
                                styler.Match(j, "generate") ||
                                styler.Match(j, "specify") ||
                                styler.Match(j, "primitive") ||
                                (styler.Match(j, "module") && foldAtModule) ||
                                styler.Match(j, "begin")) {
                                    levelNext++;
                            } else if (styler.Match(j, "endcase") ||
                                       styler.Match(j, "endfunction") ||
                                       styler.Match(j, "join") ||
                                       styler.Match(j, "endtask") ||
                                       styler.Match(j, "endgenerate") ||
                                       styler.Match(j, "endtable") ||
                                       styler.Match(j, "endspecify") ||
                                       styler.Match(j, "endprimitive") ||
                                       (styler.Match(j, "endmodule") && foldAtModule) ||
                                       (styler.Match(j, "end") && !IsAWordChar(styler.SafeGetCharAt(j+3)))) {
                                    levelNext--;
                            }
     

    заменить на следующий дополненный:

    Код:
     
                    if (style == SCE_V_WORD && stylePrev != SCE_V_WORD) {
                            unsigned int j = i;
                            if (
    //-------- changed for SystemVerilog syntax compliance
                                styler.Match(j, "class") ||
                                styler.Match(j, "interface") ||
                                styler.Match(j, "package") ||
    //-------- changed for SystemVerilog syntax compliance
                                styler.Match(j, "case") ||
                                styler.Match(j, "casex") ||
                                styler.Match(j, "casez") ||
                                styler.Match(j, "function") ||
                                styler.Match(j, "fork") ||
                                styler.Match(j, "table") ||
                                styler.Match(j, "task") ||
                                styler.Match(j, "generate") ||
                                styler.Match(j, "specify") ||
                                styler.Match(j, "primitive") ||
                                (styler.Match(j, "module") && foldAtModule) ||
                                styler.Match(j, "begin")) {
                                    levelNext++;
                            } else if (
    //-------- changed for SystemVerilog syntax compliance
                                      styler.Match(j, "endclass") ||
                                      styler.Match(j, "endinterface") ||
                                      styler.Match(j, "endpackage") ||
    //-------- changed for SystemVerilog syntax compliance
                                       styler.Match(j, "endcase") ||
                                       styler.Match(j, "endfunction") ||
                                       styler.Match(j, "join") ||
                                       styler.Match(j, "endtask") ||
                                       styler.Match(j, "endgenerate") ||
                                       styler.Match(j, "endtable") ||
                                       styler.Match(j, "endspecify") ||
                                       styler.Match(j, "endprimitive") ||
                                       (styler.Match(j, "endmodule") && foldAtModule) ||
                                       (styler.Match(j, "end") && !IsAWordChar(styler.SafeGetCharAt(j+3)))) {
                                    levelNext--;
                            }
     

    спасибо
    с надеждой на скорую реакцию, CaPpuCcino

    Всего записей: 6 | Зарегистр. 10-12-2009 | Отправлено: 12:42 12-02-2010
    mozers



    Silver Member
    Редактировать | Профиль | Сообщение | Цитировать | Сообщить модератору
    CaPpuCcinoZ
    Цитата:
    с надеждой на скорую реакцию
    Если бы Вы опубликовали это предложение на офф-форуме, то возможно реакция была бы быстрее и доработка, включенная в официальную версию, автоматом бы перекочевала в SciTE-Ru. А сейчас - ждите ответа тут...

    Всего записей: 2187 | Зарегистр. 03-01-2002 | Отправлено: 12:35 13-02-2010
    BioInfo

    Junior Member
    Редактировать | Профиль | Сообщение | Цитировать | Сообщить модератору
    CaPpuCcinoZ
    Спасибо! Обновлено в ревизии 1312

    Всего записей: 83 | Зарегистр. 30-04-2007 | Отправлено: 12:46 13-02-2010
    CaPpuCcinoZ

    Newbie
    Редактировать | Профиль | Сообщение | Цитировать | Сообщить модератору
    спасибо, ребяты!
     
    ЗЫ: 2 mozers - это называется субординация или вертикальная органицация управления. т.е. инициатива идёт снизу по иерархие, а не через головы непосредственного начальства (но это лирика)

    Всего записей: 6 | Зарегистр. 10-12-2009 | Отправлено: 19:52 14-02-2010
    demOOnk

    Newbie
    Редактировать | Профиль | Сообщение | Цитировать | Сообщить модератору
    1. Cделал api файл под питон с нужными мне библиотеками - получилось около 1mb.
    Но притормаживает когда нажимаю "." в случае большого модуля. Можно как-то ускорить?
    2. Есть ли какие-то готовые браузеры класов под питон?
    3. Как мне вызвать по хоткею автодополнение - если оно пропало (типо неверный вариант выбрал, хочу вернуться обратно без потери уже набранного)? Или подскажите какойто другой способ.
    4. Как подключить цветовые темы для лексера? Которые я скачал вместе с лексерами по ссылке в хелпе новой версии.
    5. можно ли как-то по клику в аутпуте, при синтаксической ошибке, когда показывается еще и номер символа позиционировать туда курсор (наверное только в питоне), а не только на строку?

    Всего записей: 24 | Зарегистр. 16-01-2006 | Отправлено: 00:32 15-02-2010 | Исправлено: demOOnk, 00:49 15-02-2010
    mozers



    Silver Member
    Редактировать | Профиль | Сообщение | Цитировать | Сообщить модератору
    SciTE 2.03 .74 Ru-Board Edition [Сборка 15.02.2010]
     
    Новый релиз SciTE с кучей исправлений VladVRO вновь слил с SciTE-Ru в котором тоже прошла серия правок.
    Все изменения произошедшие в официальной версии отражены тут (Перевод ALeXkRU).
    Все изменения в SciTE-Ru описаны тут.
     
    Но есть в этой версии сюрприз не отраженный в официальной истории. Это - возможность создавать в SciTE лексеры на lua для произвольного языка программирования.
    В сборку помещен пример одного такого простенького лексера для выдуманного ради примера языка zog. Чтобы увидеть как это работает достаточно открыть в SciTE файл с расширением zog приблизительно такого содержания:
    Код:
    @@ Contents of the file sample.zog
    proc clip(int @a)
    « Clip into the positive zone »
       if (a > 0.1E-14)
         b = +3.14159 + .5
       end
    end
    Т.е. теперь каждый может написать подсветку для любой структуры, например, для раскраски каких то своих report или log файлов или как альтернативу уже имеющемуся в SciTE лексеру.
    О фиче официально не заявлено только потому что ее механизм и возможности еще не "устаканились" и только от ваших предложений (а еще лучше - готовых решений) зависит какими они будут в будущем.
     
    Добавлено:
    demOOnk
    На некоторые вопросы могу ответить:
    1. Отключить AutocompleteObject.lua
    2. Не в курсе.
    3. Автодополнение (варианты из API и текста) - Ctrl+Space или Ctrl+I
        Автодополнение (варианты только из текущего текста) - Ctrl+Enter
    4. style
    5.
    Цитата:
    Сообщение, содержащее позицию ошибки в строке, должно быть понятным для SciTE (в настоящее время это поддерживается только для HTML Tidy - консольной утилиты для проверки корректности синтаксиса и автоматического форматирования HTML кода).
    Но сегодня появилась возможность написать собственный лексер errorlist  

    Всего записей: 2187 | Зарегистр. 03-01-2002 | Отправлено: 22:38 15-02-2010
       

    Страницы: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99

    Компьютерный форум Ru.Board » Компьютеры » Программы » SciTE - Open Source Text Editor for Windows & Linux
    Widok (23-11-2010 11:23): Лимит страниц. Продолжаем здесь


    Реклама на форуме Ru.Board.

    Powered by Ikonboard "v2.1.7b" © 2000 Ikonboard.com
    Modified by Ru.B0ard
    © Ru.B0ard 2000-2024

    BitCoin: 1NGG1chHtUvrtEqjeerQCKDMUi6S6CG4iC

    Рейтинг.ru